From 7f1edaa683ea8cc5630f2d7b94c030d2029723f6 Mon Sep 17 00:00:00 2001 From: mahdi andalib Date: Thu, 17 Feb 2022 18:56:51 +0330 Subject: [PATCH] icons added --- src/components/Digikala/Categories.js | 65 ++++++++++++++++++++++++++ src/components/Digikala/club.png | Bin 0 -> 5032 bytes src/components/Digikala/index.js | 2 + src/components/Digikala/jet.png | Bin 0 -> 4002 bytes src/components/Digikala/mamoriat.png | Bin 0 -> 18771 bytes src/components/Digikala/pay.png | Bin 0 -> 4848 bytes src/components/Digikala/pindo.png | Bin 0 -> 3453 bytes src/components/Digikala/plus.png | Bin 0 -> 4269 bytes src/components/Digikala/style.png | Bin 0 -> 7160 bytes 9 files changed, 67 insertions(+) create mode 100644 src/components/Digikala/Categories.js create mode 100644 src/components/Digikala/club.png create mode 100644 src/components/Digikala/jet.png create mode 100644 src/components/Digikala/mamoriat.png create mode 100644 src/components/Digikala/pay.png create mode 100644 src/components/Digikala/pindo.png create mode 100644 src/components/Digikala/plus.png create mode 100644 src/components/Digikala/style.png diff --git a/src/components/Digikala/Categories.js b/src/components/Digikala/Categories.js new file mode 100644 index 0000000..3f61b98 --- /dev/null +++ b/src/components/Digikala/Categories.js @@ -0,0 +1,65 @@ +import React from "react"; + +import jet from "./jet.png"; +import style from "./style.png"; +import pay from "./pay.png"; +import pindo from "./pindo.png"; +import mamoriat from "./mamoriat.png"; +import plus from "./plus.png"; +import club from "./club.png"; + +const Categories = ({ items }) => { + return ( +
+
+ {items.slice(0, 7).map((item, index) => ( +
+ + {item.title} +
+ ))} +
9 ? "bg-blue-600" : "bg-red-600"}`}> + iran +
+
+
+ ); +}; + +export default Categories; + +Categories.defaultProps = { + items: [ + { + image: jet, + title: "دیجی کالا جت", + }, + { + image: style, + title: "دیجی استایل", + }, + { + image: pay, + title: "دیجی پی", + }, + { + image: pindo, + title: "پیندو", + }, + { + image: mamoriat, + title: "ماموریت ها", + }, + { + image: plus, + title: "دیجی پلاس", + }, + { + image: club, + title: "دیجی کلاب", + }, + ], +}; diff --git a/src/components/Digikala/club.png b/src/components/Digikala/club.png new file mode 100644 index 0000000000000000000000000000000000000000..7d95bb571c0227fe19515a81b44a97f4a3f334d9 GIT binary patch literal 5032 zcmV;Z6IbksP)`&z)U=G)e6(D2P%>H_(czsEu0zB44e8$^#Uri{bzUDNf&79@_8(yu{(9@RXE? z!V^v&S|Ev(M56Ejl3n5?4^+fXL@AEmUC9Ux@+4E!=x2_igP||Gk#)Wbo&%#oJCMhGq!nlBYj?9k=W&HKp!v=`jKvD42ufxvh!v_On-wb}{}!9alu z6V8Wa$_YcoCjRV|(XA&*L$ni|<37v0)_cGS%SI?CGKgxe!j1j9t?#rFT<$(&r^0LW z{N-XLnPF z>6nFcQyqQ#oC|oCa#Dj69kdp%@2~FZOsT9Rf^%JH-z4P`Xs4*K;`JS!aIvYo)Y7lc zjJPf|7h4CxAN)Do3rd+(1vhZ?>^^SM@jMFEf)efp?g^zxYA$u)=$QlgdQDH9(%5)f zrLj~5H*nNBa$a??xBQ9RB(ty>%qYg<(R+>TX2Gj1S8nQ1ec4=SP~Uo zB7)1sW;}_BE|DlT7n|`6@pZk&DFI>^90CN&#g+lsuv2!NpqPnOaLDQ8(P(yHoQ)x! zMKKba;Dp$Sk5f*jK<+fozLhpF||V}7_oSW%vQ>-5&O_i6gw%XFc7O?<5z2vdb9k|K`SVlCq8gcR7e^@H^2 zM{Zjss-XXSU#b3UT)*31&E%WwZzh%l=HPhQmn!cH@!>6NsOILB1UGo}%tgvbMFGNs$ez0U!>Nd@8L)gmdhH*M)teV?I3rZq z!u5?oUBU$lz->Ds<40#fTzl`igMR+GyXlb+m#Dwcxv?s^mPbGG0ebX~4~UQ9ZZkkX zFBYy}Klbw}zi;xja9J72@Wh?#>3jEnEY#X8O{B$psqzjPPN0?Z|1)3g8770phRE{Q z4}XeY_{?3}iVfg8U-UYv3YuvZN zb&)LL!zQHw)@G4{=rP{6ik2&v~4!3S;;Y_q{a7{06xkudeC?INl`Rz+0ZP9M@ zh-*Q*<%#lok>85~lE>dV`?`UVET5Vm-MXXMBTWTYpCCR-1|ipLVJ!<>*iZlVFX!#w zi6v%nZLqo!UfAnHK=I{Q{%oA+T2&vKx>Sp^F_X@yi6XW%geHM#o__UB;VxnEp1SLH zoERehhrB{GC4VuR3-hUiqONm{%o${$kxKL%R5roqGNw8&zQ zF-hLAVv{!wi}6m@HKoP7|2Kb97EshBLkB6@xAcuQesPuH+!OlD00DyP4S-eK{=4&9 zW%VJv?Y}=Su1y6I6m7o8m{bod@W&dzwnA{IESS>G``o6oax@By)@iHO3ODaoaa{c0 zmA)UZ8SdB&*l^>v!j%@ztt@B{N>!?Pwx?fxL)n6K609ko{^J`;-|IFXw3la0i$=G) zLU7!&9wW_xTX}A%tn|Ixe?Kc)f=uD1xp&1is{ku!!g>yF)vq{Rh)rb; z1IvcQscGPvRVj?tuA(JdyBd~NTWi7Xop`Kdl z5G7hyT%H%WEI7-6<08>w6okyNC4q3~n>Nz@BbyRydvcS~!D~+w8We1g zww49Q7~4b|qjFgRBcIK!$cdtwMIT_#yY!YD>|L5!|4G^D=2lP#Of*E$I{p zx_?zjGViC-My0crW1J7;eLYIm)1}J(F+Z@gJ?R>$%En~~KgkWwDpoG74c!qb_y6K7 zS*7FC+OFSJTtt#? zK3oIRF{;)L&lxpm2P+rUUZu0(dW!a@qRtU&&@b<$x%VgvxammNB5?~LskR286c+({i9U3~50+onU zkKDiNd83HVeck?1v$k&4Dhyi8;&+mU1pU%3RIgGPT8T}9l*0KhJs5jU6h9X=*j7I` zis*vYak}=&F)l0|Y3Wks#K~5K6&wT{WHs@e=mbGswB4JA=YzmuIfGmrL>FfB_Jw)> z*N&;3TEYpV8}(enZCKmy`*_$St2?S;Nojq9+#lY;4iJ=iLoD3GxHY)RFs}zAUj5jx zwwJVov68k~Ld@&%0|E*IZYa_husW)XYAHM*=k^NW-nf})cIW^0D;4;gA-67u{Xc%- zdUOT9`7&up(9OeOnXpLFq17m?IFjfFi8?#PD|o{wcWw-106|tLYQk5FuG7VSjN^)W z9f1~3pOh26aU%DjV&{FgjMewjm4cI?$`EGULc1XN2(!~Zi*&W% zfV6ha>ZE@E2m41=EtIUce(TI%Gu^kRg0_MS%BIJ?PC;!G!czg35o2ocH;vhH%^2)WCZI}zioO=^T0n9$#axqnj@J94Q^VWg zAp(Gzim+l~DPKjxSY<)`OdLZne=h1d*RL!1q1gB?| zDi`X0s7z9d5AOSDTH+6~?1-YZ4H`IeTq?6Gq$xP0!;drt=hrNt^2ZSuK>-Q2P3nqO zsLfb~#hw|`6qOxHY4z{RYjKZO`j{Bi<-PeA=Kn`YBTu*SL+itTd0*A4esgn$G)3ju z?zD!uxMQ(y7kd-12LkIlq(IP??g~HoM6}9gADz!$t?v5lj5H4w(y%X1?!)wRoe)79 z8UI#e6l8RoG%A~%(V?vG`i%=uDk=@!rdLQ)GBK(u1|ayLz(i+v$~lSjn_JqlY^~RE zDnndMI~O$!Dgznk5}s|+Hk?i2U41?r*H&;q#mdEXScZ-+NoCyZj}sa-8yARB#e4Bt zaY=K*sg0_Nqxukc(ljWw6NIpC0TZNqpAQl6u0YI z!!%+Bq1rRo3R%Gj;>F54nm2axDS4{k^4;N_&oZX(U};#nC+_@^uWOOVLbfx^MQ+<` zcbC@mdso^%w_fG68LZuOcc;*n{CHV#7UL(J;>|OpDX?8Ulr>(u4N%#0s*KbHZfkofD>9;f@@a^f`08prR`eLH1Hlp zzAQL*9YZWnxXn*`KVNy8Agz2*N5Y&o z(^&7+9bBtw)#3-Ub4Kg{;|1%u_wyBkvx{+ zQczf2S;bnlnPKqg=}8k#g?3X0_d0GPbvozjUcJ)CYR^izQGVa8b`y9U-g0j;oA`g7Iqv? z7w30v`FOL3S_-aoWO|G!WrLI&C#Xo3XJgJ2xM0_E>Ad9iJ@mrQVCW4xJ7H4U5kWm5po6L~Tv11P0%E^-U)@c+KpAg`6slm4!wE$0iN@>hw6X*gnbt$mj?4)TK$a-dOsx z4$Ya18%BJ8b#&{v|Mvu7`dY3LxtUmHpFmP%O=yCEwVOm~FkI>AnP;6f#sSJ0Zrb9u zR60uJ9$N@a=grDd@rz8oC{H3BJaXou^WA02Aaav2#E1EAaalfhYUZWo<1RBhCSTFI z0p*+lW3@e|RUcG=5WHtVxgWOC%Z64 z&xf796={6AiG}@~xZ#uYqgy{uA&GQO16#88gbk(oY}?}_j97bxP4G1!P|wwhwm`>p7cnqYP3LnqLhLOGd4v>knY z%L$5sSdS4LI5vHdadWm8<^-eC;}-7c#Z2kQ^dZiy-IQZIPDFaBx@%jk%cVpPN_8p` z=bRrsL^&pNZhmyjgA_A~oCwW|HxRjMKWi?hCFj_}EuqU+DkOFkbiFlwGPiWbA;i{h z`lA(zop~>Ebh)K73_h8p*gz_W-RFUX{v;6~G$Sz!=f!y;V|kuVUr--SLu?=w!2#rQ za?xoA7kRc_+xAjQq~cP&l#cyjg!95gR`$7?i&GmV`)kccY9&ePf&-;roGtSLpUmpE zL+#;oQ551ka5!^nF4c=D`1f3YAn&J39gg)HyL!umojM7;BNon!JBN-P$X#sGz=g6O zqH&fwNXG>Sgo`cO6ZyuRMBo@-k39&TvT9zm5S+xFVZ!(2)-Epeuxehk5*&cFvkQEj zG0GFg(c#XWJ;4^)cXhC8UbGXOM5Isb;(fU3u76MYK1j(mJ^39Ry(O{FrbR@f|Hac&Xs8G z)e)y^u{#3^WL|$a#TlKnuB z-R(Zc7~j-j{W|sfx#zZnDuQ zuHOr9_^iS$HbrF5THK!P27xuwb%JY!6ZH-e<0S}@YIGYFtPPCYWjsW@UfXRI5z7fu yOt{1+Sh_m^0000 { return (
+
); }; diff --git a/src/components/Digikala/jet.png b/src/components/Digikala/jet.png new file mode 100644 index 0000000000000000000000000000000000000000..282d66b2758dc5a6933cad4a7454c5cf459923ec GIT binary patch literal 4002 zcmb7HS2P@q5>{5CM2(1Fi(YqiR`0!cR_{d1ve8+wA&6fOmatJHSY@Mcl;}eAx_XNm zizGy%UHA3A-G^`Hd~@c^%)^}T%p{o@X;YFjkrNOQQ0nSvnBDn;|AO@1oqgTfV|eGt zpgIs30Re~1e?h33Bj$V;B!ro1s}aDmfz#+9j+nHA*deRvENvLtbYB5W&-X}H(L0@oIm zoVnSm^wv`>$OJ7pRV~zr=qr3ojzboM$cpL%O-fX}S~`NXR$H=SmFT zIyK@T#}OY-;WumJd32KfOj<2i=Fj4OJ;1-FYEOU`GxONlz?SH)#yl(Kw5P#N=E~G; z?^OOd-V#i$sx!=~I)^QqB6wSgn9YuX4ht{tLbOG?|1t}c&S6YO$i_AZ>c5h&Br zY!zSM$Y1Pp6rgDIOyCu)Uz*%SprPWIUle5@LxJ7-2Q?btgWQ45Y(rPFv0QdiL@!Xf z`g^eW!3ftNG+=*=gcR|FFS14>I-Hwgmm#?P7YD!;26C9*gi2EEKBp0+i5sx6PmwII zj5ilJsmC(EWS8VpqoWm+;j*);7W(X#P;eQ6S|e`foJC0^LmYfTdn6meP43i&R?c+H z_n4DY&{Znl$pN82P6|=fNe=B<`tC}#R?624v@c_?hyL;- zhvTR z7R*|_UK7teh)w8$DJJ*zUz9c!yzh~U~maRGAYDmOT#DM?e*>?6qqu!Tu15g)VD(Ne8FK?r}uG55zoZVq12YpZ;Kg5?fo(JZgdP*x!>DeU<@HUBdV7IVV zx(-wSGZ(9QASOfg#uBvzT6T}o24O$Ztp4Q>B=hz2f5xAj>ziEVSkVx(3L+((psG)V`*$E9?DX9dQiYDd zt$vdz58|Ya(~G%uvqUq+NxX18v@o(u=W*0Yhj5uzvwXaihAF!CDSu7&kx)C*z!)&! z4-`iyq3CZIMPJWKQS-=*oYXY;R9&KY_P_8~IsYuwXu|GHT1(&1sk`_RDRkEit%r^| z9VEI288pKtUr`8u%{0)wauz`u!|#FaDre!F ze_zbzqY_Eh>e-_;AJg$v%}TwYLK+|sf7HDqZxCb-(XyLtg0!t(G6CgwXN2usO*g>} z5AVG#_uaVSq&Rf=*u9E&cQr;T>@Ux+U9eppb6G&8kg075is~AvVZckRm@rh4KO-p# z{kg&|sOyrd0sHoN^gSDrYX>{iI(llYUD!RMWdexgW2qj3wCW3H>{(z|a*B6Abr=VV zCkY;XtNHiGr%XSL;}N<@q1M*7b0_LMRafkjru+(CGblmX1?R-fz{OX*YrBxP+1>%N zG5cI5X)`wZ0vHNZ`B7s+ufCFT7hF&3SG$e?(q_FBH5Dt}mNBb7xf(wra}2qNkctR$ zUbipELr^R!73amDz5?wJZ@Q;kDPgxWMvW90&#_KN|28Xe)LkE#mAM~T9Ys#B``JzC zm@bs;YE760z4-udQjG8QRFJ2AfDcc$Ydp&}yxQey>^ZWrH54<4swP87BTK^rMw|M? z#>=OC;%Z)P{;Ex9_I#tcr?j8Bm%89ej)1(|=+Ijc=v?QouRkM&BRR<1%%XCcnrKG; zZ5R@or!2le9Hz9sdRtD#5&BxB)$@?n8)x%XDzY9UO0WFNEvZyV$ir3i+Z@JmO(Y4S zg)~q2$+SwJOKM>EHID&8)SJxfeBM`A#L6kdVXgsHd!M)-XF%IcmdA;BD6R?MbAL^0 zG(=BZkS_3lC5U;^QqEVb-nzGgOy71lp~t^xO4X3L4v4Eho8FbI&Fkk$F#a_%)f*?; zTTb<$JTz7s^?S^P^b5P#T_;b{)Fo*7w;y4vx<8whMkyo!p_3h`S&N=-MX>00xQAto zE3#$q*>Z9yV8fpt#QnPOf9CF2=;pB`Fse>(?-MhI7Lt*D`}>xiJ1x(;M3)Eyt#r;= zx3WxU9H|q#30e=oWBLBYPjaQ0Ik=N$5&FHHXM%*G_QV{rUul;OnX}S9@o$Q(5Z+N5 zmiV0QvbuM{p^4f0G!lRoxM&AD(@Gd5CZhUUM8>vgH7+YYV$W(m$=49!N>Qu-$g3*^ zEFOECO8;`{eJjFEEC0-v*pbvmW1fNKvqA+v+0v>aa%A|U)TXis@!;(P4(aJeXus{P zR`E9h>0`y^{GXBhywmoi4iCD;?3P2`DIM|h(nX1ASAEVNq2Y8c)}pXhr*&?BZ}%)q zmtqSGz(j$GW!H*ty!cEIs}u3TPnpm^qbEB$PsJBwiI{KKe6H~JBCBmttioSI)^D=; zPWK+K#j4K8y;<;?_(ys>xGr|G!!bWVHbdq;;QXR;3F_nNqP^7yQ`CEIZu4oGCfA`v zzc9X2zNrlNQIDx}oWa(aeren&0K(p!8M(9=KE{HfEtle@{7Wu8nqxT?mU6@B3o#yl zzL=AO&$4$yb*EXwUmS-PitBs-G|17nl-I9O1@kvHk-XJTp5C**?OH21de`6wt|Nfk z{Sks=|F-72Hi+uh1h-OC2{Ajy8bKpM!@J*0Uchnl{`4a&3w7|=fM9$Vl-MZ7XQMoc z3ub&9F&~0H!sy9;?~JE_l(9V+|g_7(OzacyTSRA22w;(qV=e(s0yOXk#wSwkCSim+8~6GPung!6sVu^-I)4qOeXjEuPy}^RI7k=g2W8w>|r42 zZ@16Z$K;e`!uFlwq3w4{>`kuOvc-1*ryx(5%9*lBN%$Fgl>;@oRwQNRKcMTVZ4xxH zqmBx*7dYsh$W=NyV`~o`zyvYR*(d0rO>&@zX$6-pj_R==BJRT!@A)#W46p9H)MYIO z3F!ye?{WjSw*=O^%yqIuEqhMua!p7*CyybmZQ(LU%RU*c#a@nnkicX;tF)&6RBDkQ>wU8QRlIVm@^1{ArpDw0IVEtvQ&rp;xJxN`;n{S9 zvT8)C%5%#lfcHS#TdVcd`z#w7hHJ24emU2T>nUU*^H)q*Uwd2y``+N!+ubyQ=A};g z+l3hPW3DqN8(=F$zBIksdGFrJ*{7KNnD#2`wuPE8v4;#R!#t_MOHQ}nH17`91YG|x zz2pmo+tp5YPtf3Qq1yl~V$wvN(%N5OGDQzqAwbXi@Lo?((5>_?vPsrfj+L}Npp4~* z;V1UJ`)+NeF~}H$mIH`v^s-M;!UOt(LJUz583U_}!f%WnqB#50(+*hF&zgxBKIy?+ z8x+M}nERP3dr4h8q3U%oLj?qk0L^BjJJejxVVhw0i9;wHrG$f#0*xmg?<(*A5C3;N0nWzRw2K(M=Zz z>rWL<#oLD9xF(Z|`&vdrBkP`H3@?4u5Zo=I`AOXrwU+u(3Lr6x-9f3Y-r>cRNY!&L09R>7&lid`3n9iic?opPE;bg0d(kz!)G-$iJ|q){kXG)CKFH0 zwvW4>H5Gca5obltTw_Cgd;@j*%kQ}ihN@V$EpqS#epq?VCHQgst%ASr zT%rNV?#8{+`)^JJ*HaC7c$8L}k%=SmX)mg+UwHg;ts~G3c1ro7*EQ5i4v(2JEs-43 zgt7$$nZ~=F2}1k8i5?^1$}Ly_*zi9@&?}Msx`Iu{sma^|4HvPT;}4$4esammRoeG| z(C0nz7a?=)s84H{B4)f8;As{D1a)&Y789CtMPe06AkIAz#%@Li?2}ZBC&0fwclONJnek!3-rBav^MTU&3Fr#IN489+;jTccYCX^cVEtSpuymV3vXW2?k$KG80j~ zi8+5y`4-Z5F!2KVbh<-2#yuTI={TLk{%v&Ab&L3Gln77bl)*CY*1kFc<g@EC1W;uf%mJgfr4C$S7i7l6Mzm6kf~eRp z5S3#iD%8>D3l|g*XsJs})~c<{T2|9ws|&<1wOQ)uK=Xud3*Gd~H{vOno>BnfSO1II z9^l#YPH*r#3+16ea@W+S9v>&SU8b8v0)dTm!c@A)R$ zxR5^PJ4{{sRAQy41b~)ay=jgx+MEXSilR#5n8~Os*VKV03g$3J28al0%c$C|f~?+S zJj8-DXBY?sq%J)tUz`amWYs3Jc1@&ch-DVs(l6u2>5u(~d7R|wqy|t1P8!zDX$a=P zflS)LZy1E4jT`2^Y8(!Z7Y)loYbF~eX>hHvg97`psxma~;Q&BVBdy>nT+gOd-&)NPLd#Nd}^zUL)>{;oqgQdY)e%@$1*!bln0@vUE}bXz!~x%}$TI_oP7GtB2RB z8XyWvs6h%x6zS+TBO>J(I6QAOL@3%VtZqEJoh1<;&08R$tifWY5A7lr4qBl-O&ieK zO0lkbOj|~yKG{eo50Fk804;s*rp-xmZ%a)&%g+X-6e&b5LM?_$_inA^p^B(*ERo*U zshMI*ip0hCLW*f6A%HN92+@Jo>9cDA2f^(^!=Tjk&+VzkGZb~{E~B*bn(2>ScP&nW z^rQo5>3cTKrO~}1&9)0#T@>e{ByP2R7q~)!yg|7GfS~+@>B4Y!^8z7+9qP z?1nTA%pONR4g9#MI=$7*D_7x0eUJ)aVLZZ>Pqtcn(g2iWIm=7DIe}+WR4e2bh0i#@ zD~xxXwe^~gbUXcPPK0~p3W-7s$E`h5&j;I`5Mn=VnO0tL?G;3ZUn`4BMYNn2yZg#F>WmlIrO&<%2j`?Lkw3LO(+{bf z@{w|vGJPP_QzLd#Ay!+OYkLa9vL3`lCHAkLtF>tTb{@(^x5lt1#2V7 zU++=tccScp{UYZ|k@2`C6Xy-DBLt>`UB(kE={8zxH#zHYlfSC3sP3X|<#9{8Zsvbn z|8_h<=?MYQ-dAp#?Wq4qTKL&0Gixvy!F~xU#Ui@8Dy1{nR=MGT^7}%sRYR7=WXF(peOcWV86)yAy7DJd|QjhOA19VO{ZjI05}f!GNaXbDRd(FfO58GyqO%EaWRPAwpo9ZuVT`6O=` zOY;-jE;Nl&!T^FdMk{6UIs*eb`-J-fQOmqicf!9@^gd$yut>}Ol{26CwQV>->4X93 zz$<^^s-F7mGI(l54P{3M+Zp~4?V%NzVWo5Nd>NL$*8y5NuR34pzp-eHzM9PCj=Xe{ zp_M){>bgmTM$3r+TDh%oaII|fMVTD5Uz496{b*(f7CYiiGarBNjW_}6gaGKkD}U^& zq@-?ubTFT5gfVofg^Via%QQ3NLJVUvrkJ4jPD+WrX$C3ORq}_yf@sTe0?as_Tdtp+ zJ}K(QQTm)p;F+coM(Ip0qjOuQ6#%Wk2H_Bq$iyPF)&471~V;db%TJFZFbz+5`fFVs}*YisW zEC>2A4kYk&-~K|J@?GDFwHq(S)N`IyGVK5A*RYiS_WaSGq5u3>Fw>1NLm5n60ex=) z!&PiG16rLJph@Ey!Cso|7rTlxnN)&Qn+G~6ypD;!c8sfM{^iJrW z$HiJZM+8rUjfC1b8t~A7wlxoezWp!foXsl60&r#&OtuYq>?W1x%SoT^?7-?Od>Lq%)It$M8O-L*y7_&-?ns zK4+haXaCAi8RHx(EkF1u9(l*V!_32rSlf*_sWbQ7a6cA@h72CiDGA*gye!SzQWLW>kaWSlq3xM{%@-4H;`n)X_mJQ}A0-YVYF(ynl z-`y8xPhciLA-8B#-41FdSydx(YBnyk9yhjE4qzv>#rsZ~!LvTFnGP2`nlgwUe&aP* zw|A+iv{BRFs8x+B-QtnS)1$Qp162>K#|fK8=@y6^TpDHy_W~B8sMz8iNuOPQJY4)^ zUdq@}!LwDoE#nn6SliiO&Y-LW=n_PU{xgg4)dr9~6uJT-P)KZJYg4^pjRNh6`4P(T zV;SH&0@-NJcF&sEzWj*6lT*HD-D_WtB_7){3v0dXUDCR>y)^{JrELlZ3bHZ7IqyzX zK;-Y`Mv%R=9Sx#ezV@$^cpgn*8&F@K#+Gq=cY(|?+sKn~50+%D9XG zFkY!DGIWY;3>3;v65WOb=E&&4(OObpz?0%@J=VSSG8_&2XzETo1ye709*_G7Qs*68 zHILX*8Og*_OjX0a_Fp7Haqw;TV;`s*TvuatCRJ#V$SX{qX4N)ogpG9!k3JnWfcCun z&2OW;gD`NnwaaE((a+>7(G&z^fmfKaD*}<()T?mV^rD>-D)B*J1+Fua;u1`uZAG|c zU=x6*E_@!2H6;L%sW4+hQ5#@V1>@ifjAR2{b_m#g=vm=nY*lt9up=<1EDt>&>oqB` ztM(!XV`q3i#-=?ld(%;`;vW@&iroXgrVkOE*g2|ffyfNXF2)&LuQ^p!`?zOOXJBQi zdOua0!G+?pzA}!gP2n=AprA9|v8nd;ad08%)OFee86`rTP|h}$VVGNwkQHRcL`E*$ zFqoF7{ZJsW7GydNLA}8&B&nZFwO7#A`@5gnF?V$K&`|+s<^XTahM4p`40vrAgWM(w z&XLV7z&FYF^4UtM8i_%`4G4RiYBuxyKGAe~b?{wqbFhHp5hg0OJy~0N#L>oJVp%&y zKoomoNo_-xrm|A7UDxd7RBvDkYO%_!7s{_>?H-~5tv_p~>p!w%Zqs@kVLD;}?S9#t zHm53QrF}vM?h-jGuv*pL(Mms5jp91X`k=2>B^i6jOe{h)lxT4}oBUX(H0vx3ARFK_ z3l+-`Jc?sYOJBXGEb4bQv*G;+dM(fXYWw%jDkPp1xlLqj5*f)@IrK{yT(o@XzmTEM zK4me~P=}CV0I?cy*f`zo*^DDhM+Bfkb3JdC9eMSn>T8{C(!#2neFLGWjq0Jf-7IRY z^jYn}W*1;R4-$JK%o?#V&{&Z*!p}zXq6z}|WW-Y3hWGu+t>|~{#<8TOTffAefL)ow z`{6qptITSgap2NN?W0>YEGWp%nx2o_P=(egT%TkAg5a$&hXnOE(#N=8(sj3W4=~_OV5<*(9j)GL|vJSrXhyjm3n^tcHRRHRL%FH`C4t`GlDT7KXW9BEog_w2gi zro0|jqR<)?ENmIo$)F9)CWA8l`X&VLdCjs`#?T0UU=~y3f&C@GFktcY_^3_EBPONvOoZhNR!SgoUob>J`*#j2^%Rx{ z*a)3Mg>_1awFr%%krG2$@a$zzu_}lh3)I^AgM^f7qp*dwhweE<0=f{R=omIxGg7#?od*u>Ma$UkPPu=W^s&Y$G-s$!Mat znd$Vw9LR?ewHwTPui7y0)!E-KV{aPxJ>zBCpFYQZj>GhEkN|j`$y|JeywsN$L1(Dr z?ymcB-_<{j!(pO*U%4me<ZLg2yRX2y%P(n~x%bvPip{ex)wibZ2jUTR)HxYz zhx{<~jYHiB(xBx@z%O_n*1zGkCHv#o{}$7OkCCtLQ&Vm$zj~?NECPMdFsN{}EA!H( z;TBklb|NSP$=lDJ{wFuwjDw^@0%+&o{gJJyFLRBUTyN=QUun|~j7Uh=ag1{1FsOgj znbPbtcDthN6x^R?>(be0;oSHB8%&@3>~c?LjR$`0=P~o}j`%7GmyEZFDf z5up2#Wk(_>W}pKVtf`J?m0pkUe@_?I@K|iRZi}H*ijIam3CE!#w4A;FLB)k zuzcZj>HPP)+U$4j!Gk~cZ<)S&Zw4sUU5_1_5j7eO`=xz3&D-JDgE5eIpZ!|gYp%!j zMF4_Cnm_$d{?nB>NIEEhcD(fJxirhR#>_mJa5R`@X4e#?yV+yc_gj2*~4KpwMHv!3=&r237NR?wT@a&cjf7y{>^!;k`8JEO%ZK2{cUy%nGIu} z2fKUB;t;4Ehv1Ev$Dk$&tFm&BRA)%u!H|vKZ0PPyw$6c7z?0LOi!Q*qH@=(prGd$_ z`#|59^(is5opPcm)n?E(rV4W~Ff&VH8RVUYYrBrKx=MdMoz7>^O(Jn(rn6b=Tp3Ng zBaP12$Ck1Kxs=+od%Q$T7oJZSeDD`m>_=XlJ^#J$!uZ1TOP}*1ZC>~-Hl>KXk-cuw zkiKZ=q77!U4WYZxe;->nM-5!Hr154PB&|A23;Te!Hd1f)R}Q|z8AkQ7-MU&uyc$s? zTQJ?fJTCi`InUVh-?uV&V#==dy>I^^6;oVQO0OQ|18{qpp8|6O|arXR-W5Z+$4?Y{m`{w2>mnAGxURQ$%#u$fBqp}t|` zhHYCd<$U_w%1Za7)2rG-t1?jDfUWH;pI&Hqb{xhuhH9wd4M8oL0vu+2m@szUPb9i8 zLho_7;K?cHdHx4~5mT@FPVC95BKrD>>B?pyPS|MNIq}+wjbfrEf(>24CAYX(K|k05ZZB~flo|tJ5;dpxv0@L1 z$@;2*XFbk6Oz;fT;>T{rYKYRVmH;)sN|+k&~PD9tH#O( zvtsloH+}q^XX4yn{^wYG(JYQMWk!7Kipwk!x6L}E2tC3KBV~BN zb}q7Zq8EyhHVY^`E)=>Xlc=8WRmIeNUDsc=QX5xfpvV8tRol~Tv#@xBVkBfzQUQYE zcXDzUm)t3do6VV{SItUj`TSD4d-r%5&;RrPg5#0$On&SGzr(x#$IZz=u8-nOrMWZJ zRjXl?EANCFts8_c*gYT$9;B{~*0^0?7>BdHM&r}g;*8h53TOSJ?>_9v_My_ffBPTv z+HQ(c+l?uLr(|*+5K_gxJzp#AsvT6%&cdL5t5M?h3a-bKN3r3o`7O=QG)xT}`^}g9 zldD*Mt)_JoxgiRemnC#AE6<7uS6e~DvEHa|ySLW+Tx-kj>)u=biq^gOZ{S!{naP{q zN=tA2fAPp~d>H#vP;lP^k7BBq=ely>Zd|8IN8UDhlTcYGP0{YMVw!0udsbpsl@LP) z&diH1!D)Z*ZzkrOJ8r6;(Rwkv6TtPX=Oqu0b9k~2`rf%@fkN`|LEN~g8bgIOA( z0mi~uKib=0kv=~f+c&{Li!b@%t*MZ?a*-PV1v)`Bjsb9}P!Z+v9R~;LNJztZfcD5} zeVxtNcgh-^^Zs`owF)>`+I`DkVb}a;vG0~I;y_xSs6Z;tLuy(_vdcfmf08ooiwyF^ z&KfJ?Q%}Ln#j{v@`TvFW|L}?g(z9{A)4n?w@Zej24yWwipB8>I*d-j)q!>^v$Ev9i zLm2Hi!JaX*cyPE^iNnHAS|juP`pJ{n816+l5dd3&LR zsZCI0*{)BRrHJ(;m5?IB$&F9T7U%u?&zz6}(lkzsqj|8F?teJ_JyKL&Zry=&JagXJ zHZ5`+rql7-i!Vr^-hdOC_T9N1_rK+5u`YpUO?e)_BU=E7s>Pqcs}i@>fw(wrD+8?= zfz}oueh%}Lw03k>RAU1G{qWVuFGV0&kkDh3a1|CxjP|rs3PKp2$nx=wFzy)fph)WSE+2$d^$s@q|ECe3S^j3%>r zU;Z9Me{QLsmxfaFu0yf>vmG>(F0I?MpC5S3wb(iT7kIj-$A5n-?tjy@iFx*=z9;6X z17hB=$}T5!0lB(_kV~q=WER{0bYS37*PRhoHw6!~o(W?rg(oxq`(k?yi90r4y&f}5 zJ22ENmV$w048-vT-WFnzqG_O&xQP=*ecf2vqLV{(I~%O|DblnahBMyu{do49Ux%k- zdi2*njK@FlJ9&?h?JfWh4OO>s^w@>iCawd{?1P|YtH&K->$5fWZj#S{6jX48N6${(Ar(1cr?wwYq)jdTy z0GNY;r;Vm~*9U$V_rBwoihc5QNx3gyd*jbwR|3ze2|UxNo?)}8+=5f^?;=AIA^!@ zEl{W4+gtof`)R69RW--{FzI6p*#j9RvF6#ye|#(7_r}fG@!vm%r+V7+xxd1_|LC2J zTfdA`x+%_EMn*JHClwsTjf{Jb{zW{gsUSMaIiQcjS3mRfNX$MaU||q{azxD-wzBj3 z-tv{&epg|C>xbms&2GutQbS`Dt5c|xnkxO20+LvN9eOhmN#+!ctXMXRMIN4JM&SM|? zeeC=%f54;N`|_6B5*5$;jL7UlAO`e2+BaCgDo_)nCCW|hNjdCo&-0+1;XSq-rGZHj zjU>B9g16Q!?@4yhO^Zc^jYqUmy(}|%gff2MpXtn^6ehh z>8hnzL2Vs`8FpT8{BgJy9{rVvH}$<_d0TsS^^^U8ynp7g&F{sRU-c#|e(Ezgmh{+1 zKZ)fOrQY+gdF=Y!7jP`;(VISrue|C_{MhFAVfvwOP%)HgMANCe9mnl1I;dh4_J$&t zl?aQ0RalyhDcI(oPe3zIqEQxf@>4#;XNg z>JKwRAGr*uC(UbYrWTFmJ-Vfr$YvgPfkBM^=@ zW%il6{}Eo7)ngj!@hxBE-N(Q}S;#Z!%68fOUH6Q7$U-E}_WZhw!Y8k>6N+5x?5s>B z3>H+Wh?st~1$Y<1-rkj+4 zLw@fRY4aOagC8T@$OdyL+ptv*oe|okW;6pKKxqIV%|I0wXBOA}3{Qz0aEv7)sbFmap}42tOIig*M4pug}=& zma%ptuV{d&ec}Ta8WNFR8bX6i$_j(B*f&MBonohJQr*u%I@0*TixPC&w2W4Sh@%_t z$A0Vg(LL~u{A34Z)tDJ0uhcJp?u)eNC|Rg@5**k$y#J5`ATa3L5C9z67hyhzv1*PH z7`~m@E6OmOGeNQk4me)R1ukKFHa{Ldn-BVGG}k<~@z($V; z7DN^ohYA6WaSr{d6RDi~P;Vtk8n%T+phVoL#e=4O_dSGtU)qjwiURNd@&YfX&;Gtg zfCnEzTKz6hWL3!}b(qRqo6bD^Bb(8mww6a1Z=f|7Z@|=f&rW}5W8I53V9ojGtX>({ zH?qROU3~$n|Et4-@M*Gbw&}!v3q!N3mzv!{WFK5tCEOMgcuN1wT-ErHx7$!nCVN;H zQpB7tO}1x$0iMXd-`n0z(@qHhjOr-dpKVSUe+Hjv<)m;k~c_6NxJ9 z$=b&L3mCCH=;JP{r2PpzpMS;caccJ5l9DQHlgi2VQ`b$E9f}0++DKNzvrRPKwIBU0 zckuq?q3urB^LwWA2L8+b{KJ@9Wh0sOgMHL>d~|iu#3%Q@=3XSCVHR{96C_&7O_Oed5_F(K|i7;dfyHLSVRg;sj zcC>ys0LrB1b}Vdgqb7*5XLd0~`IVgz@&ok{^=Nd2hzaHKOS2 z+IZ=Xm=|tb$)g~>&IB`TRki4WzL{0}-nj4j$y%34yF4WdtBdM@X$?J@&9-Mqd-v@i@r zy}Ne7&anX&<}eqU-A;=4Y0g?kSl41L1?1{aH$W*y+}-qcC|-OdBZDbr>jL4y(oABF z*&E)SAG_hb@#eDkV|06~knK8@+wo za1v#~FvmE8z*oyzmty zgTSyb_NXzVx`ffBI+n|>=ij`)%x5GG`Bp?ExeoA=( zIa$3NCyd2QiefzXS$x5k!vqh!$1*W0$LS8t$fDZEoO$(|D0PoN#g9zt6t2{!iGY`g=!tv79ULH(5T<`$O4nPZR!w)s5 zDpIX-eMKGXdm?N|k-TR0v0W-v2ob5uU+A7tB zH6NJ)1hEhL`X2)!idiePQ4KGIY{1f6r@X;+3VMiKsG7NnG8^jZc~Bf+9VfM$>|wbh zNPF+Ns}>T_%Qi}`(Q_sH?tSRU3Rfm0-E61JJjy9D7v;0p-J}r9x*v?V0vg(6U!ZKq z1c(FAYRlW$$*KcjVAVrNlP*p~0noOlnhe z^|G<|OLrZ1`RslQ`ylCnp~_(3odpeAk9(Jm=97Wg~09x0)rux=WTeT-`k}nOpjx%Fi;#C3p%0ui6 zt-_Ml4(Lj-j>_Ssr4(3H&6&Ni{JOgw9Nf$KIrruAYL)}zF{{g;bMF1(-G^Pi0tP~z z-c=uTZ95AC=noP(UaFjQLMfwDJ5prdln~f#)W*nRGoq`9oJ;D%_GJX1!|c_nM%`nQ z)p(YTN7e$J#k#Y@yevbXVt26U78`FfLnBi%wtdj6nm(th zkHU&QytF5&uY7NL8n$2No#Q2}`Mx`3fktErB zM-Ge_>yhw)8u2=Kws2E*C^xP=OSx@WAYcRxSBok!Kvp|s`;G(;F`RU!QuQ|Wj>IvT zs=8StC`=UCfbG2qaGI$)u*E%$_Ud=t0|_=V(fL8n4;t>(@FoeoLsY$oJ=vnDgzs+dPdKM@sxXYRVXkX22$mCq+9o3 z6pwIEUdp&9<+*@;13N_~Wbr4>L(78-4Vpr5s-x#$HG_QDRUTJ!1`JbYp%#eyQz8GhOH>DzInkn$5Q}JGgu`@S=Lys4j{hMAmzJf z%5`0yy`yt({II*q$<8V@j4ZRZCll2f;$O1u&T3-o#CFUj*C-MG?-!3 z6o(*iG;}eGLk2ae$Sh82TeLAb&hlgfCA=-FN(s{#+5k|aILKLy@qa#b`q`mLma44bX)^ois9R zl4hr1CipgHr$IfbGBM*Ea=zIc6r?H-yVZ%oc8_D-D`|jyG9*_LU~xvnau3{y<(_qW zO8&FWcd8YXk63mL7`UV!Eyn-{i#x&U2(wu%Zf1Y_#>?@na#AKLY&UAY(xv0a*(wV# zhVCz@N4>_hcxXUNS2)%z{q&zT;6CoNccjH6t|C0f1ZdE?x|+TG%HE18#->g#0FjG< zCfQmhoZ5VS_?n)c9nBePt|dxcnCj4P zXU9%s@dJS&!Y7+Ptp)XUMnTPc4#pWHsB767r#6h~W#4F0EzDr?X?@xl`))iKA|VuX z_elgIo3UJ-Or3Y!P_StXlNY%cU4t|f@<&fy%u0Uaa49T)v&W|m{QKK z!NlS2C`}sb|2Lp0~Dy&rf6>VH+SJ=N#k$sHhHp|hhg70#~JK4gvwYD72Z%kU~eH8(m@sr zCa5hdl4K=cyk2hpnB7K-?XY!EAlg_d=t{{8!^}2dStmimGIE3Ol@2^=jgOhVSq zAAWy9BTkWFtf4JP;%-Ql66>}hsn=iK=H5!)48Q-5yH`yT+l@uyAY{6e?1gat9A{Fm~W z2Lp##DKx*$9)Si|1|&2)+Q96d1S_F1b6hM%YfOrCYkS(b1qXdz+Y}GEt3sgu-iNXC zGq>Z(PJ6$27y7hRGD3{5TGP#23i7rNv1_L~xx)D9AVrx`92W%g_WX9QlpdCoY6I%y z(%u%4uW5Mo%8f@8+Hd^>Yz-l+o2#S zlY(K8&&Gz0iQ)H3()*&UStqIvbX?@m_ljDj$aGCGr(L9=F%(W!KB;%3RxW-lCevJ>T9D<{Z)b(;J~PfbJ?&Vin!!o>G}9 zWbt`(AwU-Rgd$3CRh=*l6Pqm*W>v^WA)8WhT~^k1`h*RZ@2Xt?~2@rfl1IfR|ilpN}tU; zEgFK{qR}T}O377L)zpC2&o;RA#Y9q1Zczs`hAO-R^63Cd0Ny51cI})QZm8W7{_*vz z3NH;38k5?6>BmKdPeMpyXg`3}y)C0{!aQn);B#8>NOi+e0eAy410y`yZu8f9hBG zf&4C^faqSaOEBSEgY>+@)Jzz=R3=#`)2+Usygpx+AN83ouWp&*u9i(rUs&#!l>=1` z!P{#|j)$5VHd#4f!0b^0ROgGT2eST0wlJGGTS=MJ*bk)!Y(qLHs>*V4TY!12L1*o+^b0Vp^ zrmDs9>z}>pCu)B%MJA}OXNvq0G0W`hx9;XQtL^rNj$XD6+p|oD;quvmZnsY7bl-+o zZBI>{wfTuKJzb#~Bz?u!3U2c>_ra0jbSzRMVXpdr90niSw}w9k?XPp1 znpR=5rB!OJQL62V?s2mm#vq^Y0Pc20Nuu*`9gb!O$?%9Q-9WgR(Ke6 zEov2?uxy*607FVZ{_-`4u3&2WZ$=M$cG9pYO`~{XXfA4~h4mt)ccHjb>InsId;6HXOjB z0+Dq&9nTOiF$31x9UUI3_D=&K#(16;DN-^5WTks zfrd1=K=hd}udFS9;vW5T@HeiZ*%tMj+H>p=GBR=KGq)gW>aFE_V2{0xHUOa=-fele zv7JD`SJPIodr(d)az1boIP4savTlmjd*Nhl@gt$LVoN#dY8F$YlwTHq(*%IVI4}>E z?HYP7&@hTKVmk{ac73r=yC8=!16a0V%4lihI5J0zT_YU!>pEc3$Z&R=0F`SWxA4pC z*0-(bbgcsO#yRMuS>7ag4^3%NGs}mvDCc`K;OjbKt(}{Jcm3#~u}{|W{cZEk_=EaI zZhdG+rDF#LLU^Xy1~sZpHk{sZ#sTcVS>EE1Ffn~SK z>QpB6EiDRqc{f13Q{`ZolPM=E+qTC*UPj7+3FLg-+QMzyM842RnWV8T*z~$hAQh&z zt@rvAw0-?~&AOf_KU^GGJl{JnSZM)NW&`1)_UJ}q1H^%>$7XxLV=u-M0A^_?6|2mvR4Ut;gAlQ*qX3KlyNDJ*`t#|Zgx-YtLlrJpa1nwT#1k-e)4jR{`wKR zIUhi!QCrxkluyH`2yDp0Q;%_Te|%ez!*FYX{XS> zK~x{5=f1wmFBdN_WV+?s&c>Y=o`s$3rf~Y6CA#SDMO^;*N3u~@IJF;-Gfp^%ZEyLu zXX4Ipc?Nc#HeK5B;=gN4SJSP zI(g8mH(3G}Q}ZxK=_;A{U8Y_mHJj$q1|Mr^LdqLaw`GWz2?p6dJGXu`p1wPkc~PNWi0&la+~7>XZ%nxSc@p9&ZFILb*A;LTc+Tkq#XVT^6|Y+n?{Uapw!x<3GGko-yvD(~EMf9B?XNbKJlf>)RcUEB-ur8hoJk&? ze70xH}W0i|*c0noRy1r>-8FW~y3_=E2H+nh?xn z(y6Y1Yqgp#_#=2PYHKpavozzvTBYsy8+mGy;UdTF>B|mKP-E%@tvay_2*3+&f=V*hSO(-uWrK`123j zO#AKceF4As4VTi?Qi_L+2zySMvAkJT{oqY^)_T(fy7L9kptt<;l~6F|a^CmOPnu+O zAa%;P(8!EeHNtK1^qMk3V0}U`vUC6Gn*r%--k%{`nSy9|Ebqwh16k{ z#5BHEzO4ctdB6>g(PeI8uUjAie-c*|r5!w|Gis!Hm1s zHQoTk0>1n$>#h19{K%cj5PsO&l9}ptH+_YE;HIyX-`^N_E}kp??B1N8t(j?nUU=7I zc;g5C<>Oj5ORi3&&-&bzHdgx_Hr5B3Ach|(NI%}AC+cT?lbFUe^m8y=?KpB^Mg1P) zS$0n;zP}Nxq*Vd5;okZARO;3Vl5!d7QyCtR@j+R)G0!K}HIG%& z6b_Qo5dUoI^&AgT)Z1G#)T$LVL=n+G^QnF2NgF4|9eNj}8^cQ1MGvv%hT2+zND0SWa6y*@!9bL_eDz|1FsDf4O zT4BW8AbA0Kx^^uNk_d-L$)Mhf?2+jWL@nj|1p|vssgQUTTem$YBSW^0td3$BunC)s zn(d(62w4Js^^xJOJX!FbAN`y7Z?C<$ZM!tP8~4SHFGlpz7<<>vV0n5~lAcJHCmZP3 ze)5yP1a7#{kAcWypD~mR!6VD7_z4E3p*$lDV})cawo-ku9WstvXCK`9G8`lwj&!cI zLV=aRqlSUlJ4VN9$C!;H*|`;n6hYxRdwW1YkF{bR+FaHiR@2j&sDcM&@=4_Q2Y>et zKKH@FLx&m}5V4j;nd*rjcFJ!2yMF1<3Onbf)Ln^cIh!?V!ejP6TXVg?%t403d(4!I zDiD!?Rg8nN5>;;-;apA}0cUCx{01B%jSiLJ`dtePn@*kGm@0UYA>TqVwiW|zVSqNXewO|SqCDYHgZ-^ix% zvP9-CnjlM)vmV%_Yd9&yn`lL<$`#X=4G+!Vh(n~qnqxUGl%#)KnojFgJy|?mjUnxa z45+|B=d@)v+oiFYf&jHB6OzPQG9Ir4J=lmdlh^?B*6EAl?3=AF3iRpsID0?H*ZGCa_^NO2__r z;EQ+Rf$x7i_?x=|z)|k8?FderGO#u)!)z0%)uvEHg=tIh!DDL%FF$GblTnzGQ$x>Bz=40J5KTC1?K+|Bnbw7t@NH7{Jl zSvVluJEy+trC9r&8)eY*z$T8FN{o~KXnG1XGoAkO)7{Ca&fnMMznQ{H`__(dARX7g z5th?AT02v&)67iCi~KiJ|7dEemYu*;0G9q{zV!t-{dKRZyXSiIG@BnOt|~sfI8@}o z?0F0z`cga9Joa-8+&l}<_UXWYdtHzz!CFT&IjA(RzxHszbJzgN>B5Kq_?q;wV1o>a zw@3ij&9!VjPrQ;Y;W)1&g22fs5g zIe?bawf)I}J}}c^Y0XqQr@*0dPyH)p8E{4wq`97=$dX}x#;K%_&qzC{rGwDVIB~)` z2Nt`aLwLDlvmY5yOa(iN#dl=zN_ME%RZ3fsu|BLcg(FC57G0g@{JhSGl6r1dr9?C= z3}Y*~#+spn@Ks|sn(`$w<4~xov4-lDt(9o--UApMSLD@B3N}P1${-K2ZU-IdaMlVk zc$%F&+K%a|ro56m+d3etb<|!b*kj-949QHo@2Z(TMEZnSkvG^MU|@+Hvl~Wzc-MAZ zc7P(rg~+ktI%eFu)TRFJz(KvPVLE&tHKh%Y{>jZr7}v-BwKl$j3-UOkW$-`t*(7x7 z>Z}s6epkWNS)+7|C=darKix&_FBtG)2&vdCo!K#YovScLW~KaB)Y}yLbZwk$n*5i+ zG|tDO{+w~TstQFl79e$94BJS1IXVxuRb)1R_k)!|deWiFzJQdPlQ z+-6*us5kk`F~p|QXVI?N@Ze__a0Kay0F={cJatX_xZUDB%(3@XJlbJtxXxk`nxTr7 zVw!>0YpR#o-K}~IdE=gyuhee8(nHN+|74gT z@X=3Siz7@&44@5*^NahJmtT|WeOwymp>^$&?2OkjWMiL3Q^>vgwU%LOOu@Op?$;1c~$HCUv z$gXx-2q~%s;>hBKs(42?Ub+%Tk&YNZIbE`tXpV7>zU^Fa)HIB3G9(`@ua5Q{l|B**&MdJGrY@(!hmw{ zWP|#K$VsObLw}W>!(?Q$72zt7)OND%L8>@N9 z@>t?1)8TROLDE;x`p(-@ODHHf}W?cmBSQuXz5xwXejgN!*?qE~rNKJsZDY+0sV5 zwoBAv2!q%q6-)GKRh8>`(}m%N*bcv~Efe54PU+-rFWm8Gm*W`H5xMazr6nG}W<<0# zO|#j2EKFcO+9mI(VU5#U5R%m`wIz2z9dM@MQvu}`2qt>iF9oQ_R$o=3fauv{B-vI@ zo)zaO(6c8clW-!?6w|(yPnV$MDyeLo)qrJ37HzHLM& z6x52b9e8!wf(P%o5g`$`kI@7f=@tjGu>@shX+n+KqDniDgJjox)awh=%O4*MK&z!S z(<`1PHSTlAGI+8#mmRkJXjx~j6v38iG>&Cq9E)eEz>KueAfofXNo$?)3UzcaF!**9z zlHt~NvcRC0r*4Sl4TeL7nc5R|6?3ZmDm+Y=n4NTF0HW!cRW5j>p)Qlji-e>jZt_u3 z&tA7{YQ%Rj$34}>xd=fjCEq->} zm)E~!Qxe?`rlS$o3*}QtLHAG@YFwbgS5|}&7QDQgP4NNA;@VK$UDgf!DLAO^DiDnF zBW0k~RT#MuLev=f!X~yE&fXFqP!so9iF%QZa}bp_ktdjVFik#`rR^hk>mgm}-~KI& ze{tMiUwhmDl+(qFpSdxqGn(QIq7_sbble!M1I{|1NR~dxXO{vm##_YwHHk8e_{E}< zN9lSW(oyH&jlelV4VI(StELE`aM-+f-=FX_gN1_^p$ywy=V}AV$c7EnY9LIEA0hCixXIs8}`b*+N_^jZ8%e8hgs3eUE*;NGFz_y(#3!Bhw z`v-{%G&zeXOXb;kR*PC)6C8Oes&K^%P?iane=|u~+igIOYaZ;&9o7K{jP)_}0C69d zZ~bj-Yn7L_@eRitJUJb89Oz)_;?&Tze)M`d)RpMCaOW$_vdzJe#TqQMU+2*azJ5{v zEZ9*)8~$oqXg)DO(7AVHpQG?O8eCf~jmVch>`&L$^5(Oy+CODkq(Fi^_QU?#;@mRb zm|uT-z`7#+p~iZai}h!$)EtlyfCF)2U${65iyhPJFG>>|Cm@{=0OgdK2;=^;)Qd$` zJ8C>~T}2iL!k?xv0HCoSnx`~4Uia)xKG=2_%`XG0>sTuDu(oBkOLq{F6mSgxDtPKc z7f_=H&Nj?L+a;zdt}% zrp|6-RVi+1>U>qYRZH7cm0~QSrZKW5FWYmDA**YJV@^i}Ev}2Z^_`rKXX}K8dqV&9 zKtU0_F9n>c@~E;R!>4pxztp|#l6}V>=Q&h5;Q-1hcjTg7pS>(u3D=bqw(9JiR}V?I zt=f5!O#RtQ6%f0rgYeWRv%4T@%%mqJamzNWqYPEIVAF8iL@0EpX6;igL*69_eQH7& zSv4dZ7O%T_*Jm$(BEXZ=69OPj7w!7o+mkRhrLT1>NS2Ac%}k!-5z1-VIjS}`+rn0u z5jRD-J#~x<>9{0SH3A9`&8Wd_s%QxIVE@^h#n?gVTt-zENC84@deN@Wz8z0sdO`t| zQ_{)T_v7VkTraRL%hdHBs+2FUabcLC6cCZJboSZIGvM@0;z6sN`zK*rN2ya8l{9k9 zws%>7K&77bnGn25j`j~=s+o17xF*E5B-6{YZ1DuACmcXIU9xZ6!i)CYvLOxhHOlJI z1`u0Gf7>&fr4((bUa4Lr>ERf*z+Eouk)Qtn`o$*>ME#DPc=X-B;qe+awt%5uKyG$k z*f*_CK*_JaKL6bxfG&$&H2GGg2fdA%7|*p!|m1@ie<%>ct5Yl94e{D z9=ykP9?=^v+4Z@3Jc;RKFcV6;W9{Xe)5m5TZIz!{#LahG9?&(W;dwI-;GtW~t7r<) z&{5HPswWL6#lgdVy1)yxbv6=J3gW^22yH`?zd}WoS2Xej`AH)#rlpzdFWK|CYj6^z zlLkOJ6*fY*Imz#8QV7T_tOt!Ut0}xGjZ6uIRYc9p5fW7m`nZZA?;9XY9k#p@f`M{x zfZl)+qf2N{ECq}M+3Go)#XpvAUcQg6dL+pavB*tf$F`07E!!1-7BMcA@9IES?_& z*M8f+ZSy$E(n$#*O$kVIsfnADH0RucpaCc*^_|-)Mm8aPo#LjYbh*|>&utW4!)ho( z%hys?&|A^!iFR9SLkSO&IuMaGt*`UT;FA(Knoeo}Y5L0qq^`$RNuXDUsa%t=fDEPU zykI|gGW)c>Mplloj48Z&n`uM0i5w-NUNXG0X{zCO(~ZhpjjdJl&8KtMUUCuxN7GXR zK$@~@OGe~1sBhArbqo#654Ty(Dw<>qA5+bU!9rHeQP10;7k?1u5t7#7+W;Gz+Rhbq z7VW6|`e8Sju$T_7PwTQ-*>&p#orVYPv zr#ygSx(yrGk4Bgqb^Lv4yf!Aj*a%)B-Xba59x+c)b|EORBGl}VgPGmjTQjF+8@{RM z(aqzhDATN#o>l-FraQ24c4>r-UDwa0c+sV)yBiY~oEe=WeSpohRMkh##$+?h&6YxV zREDTApNcKR+d9y;6r#F)X*{~=sm?M7OHXS64O3#M{IPy&YI$RR5Hf+_f&_rsB!$_G zhmN~hv6N@yeQN8ZdlvHbWHBvvU0DoXq;dYFd(e%yEiKI~Je|R^Qu;si%!nXwphKMi O0000u8@9)Z$}B|oI%MQzCQf8$J0hFT8CfUm?6dct2`S`|JEJqt-pc0ek-bOa z-~apn)BnTsKF>RT@ALV6k-FM1sR7IY0s;bRbv2dO|2X%*pdkAfqcQDT|A^8}&GbD1 z0f+Q|K?qJ4v-(#geE<683xcX4)~$aDiGz}s5&;1!f$I7#DFFd(xVnmxfiK~1o<_yI z>cj3^?eX+_T@li&L^BTbu`WZf3=iI$cY z=)uK-B7B-;()xvuq7#s;2Bk1Krx$cAN|-f%Wy!WKdv@V}BWLp`cg5yU7hdN`J}}my z>kN-SAN1W}Df$*W81eT*k2d*kol_aHH}kYpsFt!lD6e#Df5m$SHO?bz(aAjnzKa}T zqD4HaALnzTL}F6c8Zx!%Wb@EOxgW_rg)l0%FB2(T?%3sN?$pJ_<0j)4yX>L2Y@yK= zLPIlKu?!2Qb($YSav-(Ex<1oPrQR~Ia33<^(fGx#b)^x6Qz72C)KT4co56fpMn_qA z=FQvar&O-;AuKsbe?QQw+dQBC0G-|HlwoEXq=G^DwPi`Y3O?isOjzw^)0vO)7p8CM z@f*|G%4tjfN}7vZq-DC|mo#cJ%bMB3@|y1`RP;@atdvpdqAUhupOf)4ObDT}7n6PC zI!g~{ehpK2R;IkeO7>HUY(Zut#3k!@zgx;^TZ%+zbb15&65%~vo>cFw+}n6~y;!1& zpu#gQHJOX+)Ffc{IUBGK3buSDW+lk0 zl~;P8q<0;g==dqwnF=HYQB(Ejf5ng%7v<5m#U*4X)>E>ee@0Q!SHczsdU?kE*fb61t4O;DUax0l4h8o@6+2oQ!U!449t*`lEw6qf*K!9U6M|8 z?P;{)T4o)BTL_0NYaSWuSUc(xTkD?u~y{O1hzgnX8X$(auxzl@@dNSzf;pf&f#ON240z8J=1md&v$tc)3OG<0@2MW-J!4XDEPDYm|gz+VCKz^jU^R3 zkh}g58xik!y!`9*U>O9cr=8jiO2gMk9{70z7RNesm%*Hdd0vU`G)WJQYdaD9*_w~2 zipg43)deJeFl3sQ=JF^fFXZ2SLYEuptl<=;ae5SI9SI_VPLBWIc?nl8Kt%` zYp9Gh`!$i0bqlP ztwT42(#JVVrwy07ZNh}71un*(P9t96Sz@%k$(Ex2H z28P@*K|$#f!+-q)Od!D8>7Q_0664qS7y=35pvi>-80JEt+gxAL{_X5RO6VFrCq)w| zvvp6<3u3b6uD`xbuz3eyFsJURdGuE-m26~`lV`+4iZ&-Ov-+8V+nsZ3@gG{?P+mjF zLAtllJM<+yk`em<%l_5Z&x@%>dTj!gA4C4%fs?%-KYbSN%y|j9#r*wo#w@#)Q~TX_ z32<=T-HzgyuPyyGL$v0hBkOTHE7)rgbQ1$>HbiA0-T zbE)+^a+zUI?4Rd+jeK-EabfpdTPQJq(>r-~T6irUP9tCDz)Y(m`LrBau4m);kgizK z=0o0t6RO^gYs-N7g7rPwUtsmd@kFhIY>T^$ma(O<~nTthD@f|t1T(#&gu6gJBiZG`aT)hZ;bS}HZiE;ice0} zoQ#M;;}Y1tof0NAJv@kk(@q5CYlL+d9p9X>G=2A|q}p3&`MbT<+wS#eBZSNUg_707 zr)L5WC=+ss+f~VUyeJ%)zo3Ws8R@6nZ*vobccxSJBqaSR3BkX z0^l}S5hmA~EFk;pabFr&Jnk2t&z%ZDM4CJuWT!miv;J@o$>cLR?-f3v6d2SY+`Utk z!5D%>TDFBqcZxGv)<1hHr1v=J4PN(0>(NsNuO;}w2V}-t;H3;a=)}NNpLXu2cH{`T zCJL4Qp64Fp^MQ@#)O$sTt8J4==T$WPH;={V!=Hv{%@m;qCtpfUumcI-B=Y^vb2rr8 z5{k*CGh}3wu)Z1?Wu^{-W9hD4QDUgbll(lN00a83bG?s&QVsE21U4=evz8B=ikreeo)p{=kw<@{bJ^U|_-XuO$=a19!-5|*v=oD=`D?-~ zk_@$6Ckv?3y|D-9EQiO08PXZ{&U23?w;M;uN$FRx4?`k|l0MsbAD`;G8@Z)8GTBIc zr{eNd8)_`M0P0pLahT^fW5k0R6!}z1*ZM>N)0_KC)KJC81TCZ>l6FeSj*! zzU1yqWOYz<&ImgnrgoEX?}hzw*ZU>S=M-#+R`jj7dp_p^V?gXU<(pUg!gz~v32Fx#QzkQ^Sa4L!|>4O!xfNF=)HA2m>o|PBP{U|pr zJ2XcuZM7=g|JWd8dt<< z#a$~eA3iFmcpvLQn6Wm_KAQJ_FEz1vT)S{Z8irle2<8!IXtCBeaKI<~hcMOen0)!2 z3@hU}FP=rn;^*g>C z8CfI!jSB$&L;2leF7eTasong~;hOs20}uk+9tRClwJOhm0Z_TWQD?*JyKvNDz}W-7 zRY1XF{b*aE=6<*eGI2S27uaF~`}PdZ^7!5(jJx_gVUT8Iv#;&-SyI!+?2Yq0eln26 zf9GARabTkVC;Now6T7kIru61xzS0O93R6T#qO~`wt@QbJ@_~0Ya~LFMD_#MyF%h}0 z<=)aRm5{mb&*U}^Nfy8PtI1ifXrY}hTDJV*5O7BHhWb+R^a)bCXwBWDD26E2vM{j; zuxAr(eTGbGYN~0rIfRtW)m%ZFy#lp)GoqLM4jC3FgH-T`W}uH1yT`$KY+%R}L>jlL zKrkp@ZaMm-IaJC3d%wkBLC`e*)!43k2%Gq|7>hHi{v!5itt7wMW2fDVt0^1Qr_)6v z3Tt^2tcT(!5wZ3Dffu;0+k=I$zPIUgK%MI5i%B8H=I|`Hxp9E+T;&wV{$U?W$o@t= z7vmc9>h^9Sdf8G#QSG>%CAh$!_@dRKx4I+&t7wW{$=ei?{Ty}M-$Yj)=?hi!EJNAn zn%LV+v__aaP)2Vhm8RIt`I?ncJyT2G*EznLD=ua%G3+FuSmkl>&z%Zq+Q;<(@ zjLqcRcvjZ-YTq+emkz(c(4{triBv?HhC1GCkJ-7x4r9$_GIUn&drFGVy7d3z$uCP0 z-Zb}f`2NwFIln-Mq=93X^S&1{wo zeX;(hT6I~nZ$tjFfq<zHg%pJLP8Pj#)}{)l%h*?;9)L{2hA%wrcRs6P)O6^gE~XN_8*yQPekgBFgc< z#F;cL@c(iBMBNu|0!w9(zhWMyDTj~3C!TSRY7JK!ADlMU2;I5GdcE0r|BHY4K^Etl zP*`|o?BMW`6c0SRb|5awPp4~BKTa+=s6Q#?o59>kk({n(gef1f_B^&<>-Hh zbis$T&#O44tWOdB^pd<5yb0F0z(%{hzAkNGKmTSpO9j5&G%I`iHx!clh}(i)zd}vo z7Rg&qVcJ+ypyms4D?*lZbN;!k=!hO~Uqc&8F(YbIr|{ zJh2&7w0=8jw7k-b%Qv+J`4}@#Bl^(~FIJfG6jsDRtmI-igo{T|z6i}HrNJX*F98j% zews&25Hxq)2A5ie*-FRw#BmTa?Qv6Dpu+M4XS1d`bwJ(|eUz&q+tVX2RmY_V<>e>K z4>qSb3(|%JXDCu<>5+yxR$3M3?4jHf@iNh9U5;jk{l19d026ktbUmYbU^md0Sj2=i zTp16kcXhcxb9tV|-K<{cw84h)4#H6Jtcr*3MKs+VZq%I_;kVFFCJ%x^(5wYHUxA{Y zKrcQ$JS{(tCRwT82hAb%F^;9a)dA~5GaHgH#ig(_Vc{d}CXYr#9rX~p)lecQUyr?f zIcfw{kL;45nVLptp~3GZe>RYA%03#**heEw<@k8x9WKbu$+50yjnBT|mNqRXLa(8NX{{6mNlg2ilo&Y4V=^d7}XP pf%}hzSa9ktj)^QYujihpJK=6mZzl4F;jAI}(;<5r;*02(zn- z)Ho};ivuOFp&2R`WkF-06;&G56VZ?+EwLd4$M(#-J?FkRld(M?Z)V=Sci)@ylScF5 z$#|6a^EvmP`=wwh`UDCU54-gUcA<(LO5rYO6tzM@0R;rNVP;X&js7pn=CQ_kxR_U~ z_|U6hP94M|EJVQ)Sg_SmOgO;9zQQoQ=X$N8ZZ_3+` z<4A}^gj;zV&r#Z(f;9B4BuViGDjcUy?c$h3v}}-scmok`=`9pJjhE@+1cc0?G<7ak zaC8uzi`a#$oWfI7ADV`w&rCC@XcwDsrcgU(dY*?MUaC%NU;w3WaUllruNzykuASa6RB7o!)ddK!b0vegrTJh9KLCP_YQO|Tv+y)fiU!tJd@p) zO}Y|}8;xO`O%M!PW6$WLn2Y-U$Za?-eUP3fAP5am06I-I*ka`OM|qQ(=`kjy51~0> zoo-K*;&7x0$0GmcA!pSOxc5TaC9ijc~b(ZXDV6o=z==c4T!Cl@bMnij|Aydxbj?JJ#3cHbl5Q zMmOi4DSKsOMhfOT=8VGf7$(=hxG|-wXa&41;cR~#w&UE<*EBOL@qp*nzqUrW%IlcO zW7`d02IhxSl*?mV&g`<#z#8GShur;{sVvA=Vlg$se^R zb>UoJHkJ_vLu2QmE*y<2LzFrAJ=5C%g%ts3DZoeG17cQ@txAf+l1 zP8ck_m2P-%cFWlXK^P=qP;*RfRmfMxu^+yiGqX6X`J9}Q zqPv_N8Q16J5q8ZnC%gzy-`QCAcIdTkr!~d(IeA1oBphU`ErWB%B%J$iEa6~DoFB}f zTjKhhJVFufF3;@y1%Y8%cy@_iIuED#9C{_L&&eBnMw+K2!mWYV8N~4i7}w|I2?}ln zNvT4T6#CxdH*c6?Z5%yH`V1-jZbXD@fa_&ktmQhI|L?B$*;|9YQbOguJyQ5>f(RGF zVsO38K+(zncoNl*p6)K%(Ru4`WTINLG-{+P zzXHLm z^Y48*_#J|z)EdstDY)bFv91l}i0D3aMr3P1glmAuJ9)z!r_UXhjA(mL2m6_9mdQAc zNJ?!24^4q?Jj25;PXnL73w^cy&hVyvxAo!Rz7JXRjtJKV6Y=hyu0QAAs3~{UdaOJH zgm1h}LzY(fr-%sG1(F{7C--f*EKPhg5j5xIztg~Dnmv>2jJ{H()4HkxuejR-#}K8lxiDex0%S+3a9wIiFjE> zgtH8_<%dSPmXK^&-qKZsvyFDLb@Lue5#fYH5#emYxhd9jB5Xv2vj{%amv=jud{=hSTWw;gF3H;nKt_1gGBQJdHLY!li^cBB}5r6XAvl5iV&q72#~l zf9IeTB3u%1JB^~5DR~U3Xf=jYxY2E$-&4I7+`5Gid2=aEj@}A2b}Nkv+-eSq69Td; zoO?5{WiOnY?*_hcA6?w-!D}(0uU`a~FG7fy>W2--Jf28bN}ywO*@bklur@Pw=Kd6kx=1mVQ>6jaPFBx z7GT#Lw+agmfJg9`DnnO2!4c0X9)j(#YmQg3LpU?NNaz`}`Hg4yP6IZ?3x*AAmQFc+DJO>8=E(|q4Jx_q)zyx1VI=iDsapQH?o3Rq)jjD z2ZS}~FVDbM+~pvP1`+O{8Eq&bO{!l(=!2zs=*uTzDJ62O%n$0bMXErm-&SA!8-(7e zwmR+50%$yBruMil+}QGs7gJ&7vZU3X(B}9|ec?E4rIt>ax^On6Re#|x5TZbJg~|d+ zb_~_`AAx^v3bsO{3ny#olv%r`p^Bq$F^;sj@(%EAS(ViZ|J;kvpZ*S6z*RWb_q5vHkKZ(qqj&O&w~wYHM^qrc)izrxJ<(>8lX3Nvr6_ zv|J{rs(2bHVp6NL=dw!64B1jR?y=Hcs$;DFXRnEL8AEBzw2E#_+l9~-g~LdB86ivO zpZ&FzOELhbQg@|&`@gMln|_Y6)<(Ki;rg6^_616_??AAcqm82q&FHw*!|0<_Cei8E z<_z4Cpx$fs<7bU{67eiUW4c``tr2OvE^h@>t7CYaLS_+Z6N<;ETkZ>1dhr6B(QUFW zA|;}v(i)M3}=+8^gSAvNR`bx>%x`xkP z;GN37La>=M-OT3FImO7fj7P%BA03IjAtATtR^G%urC{&0QXBBE1Izz0H+}6N<|WOm zsaQNd3?yIM=4)T$3GZO^nr&Cxby0h6jye&k*5@)wh5q=j&Ox7_hJR@mdeyoOt9n6` z3(v3<-Tb4j_lM(71dT$Z-gC_?p?E!|YkMHq@Vx0zc1ceg5{5%xB3&P_aDI6@i_tES zjT}G^^t=P6kxtTUe^9E`pZ>Pq*2@4v8tqXwrmrnmO?uVXW5vq-xZ%csLcd2}`~&ot zc5Ly|h6TFT5A>2o8|izGUv4y3753#xI?WP%m2A|}muIb&&dmN;HnR2o1!LJ%noA}l z%h+SZh(w?0r84w|nV@Hx^zZ)P+kjndI?W>pRA+lY9gHWS#I}n$7yd>b#UXCjWi{Gy z(bvevb+k0#$a>Y9D7Qe7?FqW3hocsCqY(SH`JCg!6l?E7NueEd>2QKmD2r~cNJQL1^90&tF7=)u8b)k1;In5@G zUMNxf@oMXzFMkI8#RrJR9mBncK0AEobbX8~W#k)&a03#||NE~H19izL znw=b3!tpqJo!ye^d8uLNVuo)u`KXgIt~7Shz117mT8eIXP}g01KOvQQqziiku>00000NkvXXu0mjfUMHC_ literal 0 HcmV?d00001 diff --git a/src/components/Digikala/plus.png b/src/components/Digikala/plus.png new file mode 100644 index 0000000000000000000000000000000000000000..de4da855286507c1c52e76fd2551d7f58c7173d4 GIT binary patch literal 4269 zcmV;e5K`}nP)D!7hT6%OmY6<5TR|KuUcyeCCLc;du~U*zQb87IYOk|1mwRSCoAr8kJ@1`6 z_x>cu_U?Mu>-p!Ldmi^(0uqDnmF-K)FVVOH>P;HxRiMB%K*WFXzaozq8(F6EV1dmCq_WR{zQV&9dE5v)$;fp8e5?$dYtH_uAq>- z2SqogP%?-@Or??|O(U%d5QxYN&J-BC$PGZq3igKUAVe^WILNHwF`du@4-M@cgoqPK z!J)`1VpnX|2yGyg#F>k$1b#TcRCpk%>4%#}c8)>Bh>YN-i7aGR%qeL72!)=cHa-v) z*UP=&UaD=cLw89)+02^4y{sX zv%ra~4XxlX7>H4&JHVuDG+@G0h_ZG4(BlITLP96F?l>zk#bTYzqBVfTF&NcOXj)s? z)_0*2oOGWagKn0<-i<@eT@VsNAvm;ZWvbFGT#6$gFo8Uo(wo9y?Gz|6Xw{etJu1cK zANaQ|SIN=-nvTs-VoGM=Fx646RhtwR>71 zKw=OO{k0vP>`m?fN!Y0S!J>QNcjx|g7y=-WHQc_MJ>8VhMv#OS%IdM3-g}}6e8yM7 zO+})I*q3pT@W4niHNMKv2-Y{jNwIN@ucGrsa8hjC;uJkfb=Sk3D zlt{3GAc-s*=&QWgqUc(1QyscTWw|Qq&_pstZ+BbpceREy(jP|GhZI}%A;z`FYYr}O zB{-v>O?G{V1H0B0>O5D)e#b7gue!aFD2nG{vxEz_Io&7WNN{r9COXd7bBcWmMT#dTct0{ z7VgEGjs}&0tR_fiV5bUU*}|y+o)&Q>(ZLuxWD!e(!pX$OOL;B@;n@W;Y~O@cnZV=b8{7FmB+v?lV2v`)d#!b;<+zCJTOf%QB`a&L{XPora+yjYqzTVmxB|^ zt}2JccP|Ao$ym996cwCYyE$VH6P>6Kpj(Qq$4WF0GryDOlJyG?a(Df@7KEMvzEcU>p~k@Aljqy5pUdOrj;91zT$7FI@mm znYZW~5FNralrMOgA-FPSLY|KR?jp^vxe#k~z)q5i#@t(Hx>SV-Z{3iEH8+A&uDDKG zID}QGW<;yg1c#Lc0;CQEXF<&k;08?ox_yo)fWbqqTb(92qp~1@#rNC-Zdq9E+(Jf) zT(>$+a4h$>79_B^&b{EOzP}74X}8L(T;}`fYd8YJ2Mp*6=XtOO!{?B0)hKo0=(dbo z%?PegqE0k!U0(}cvHX#5gM?J7YI$Z}U`B9-5_KYhElFOuQ9=;@GUOc++zZs&*p4WFzP z=Z8PCY~?T!eAhD%uo~;tAS$ND(rY*h_ke(4y%fb}Ck^sW+riA&cB~w}#$8XZ6Sf@B`y9cER6e%`1-zW9>*vU7|1&-yrg z%);s$Ebr1c!8PQXfybDjk58=;KZ2;DgI=Xl&{@(q@T2d21EWA(Ct-Wgts81=TeEC% z-S+p#txqU>?Xmsv-M&Ug#edv*jUV`$7>JxXd@6F{qm(Wo{Wa}9%(-&W<%>dEz=V{W zTEIM4T4)R5N)~;(5t#{IcofjZbD!J#ITTy{^Xon7I6*Kf#_`3^*V}P?NZ50otDzd zS?9xv048qV-gVFyw}jE_h4;@J%>nb|ZZ1$oAL)P*;gQbQUAfTNFpK@zHFER)0`GNT zS`*g7VW-E57v7py8}$=(vG2D18O|O)3Dw){g|&9V2`*@%<$_fS{HS7<4nN#%xaN`Q zWPB}xGiI?g&JfPRr8lCU!t`+{+l<8c7(Wljb%pS+KZAK*D$TgJRGF0 z-O85l8DqAFpPjuk#17R=XxRHENux09mH-Y2qD-`u3B&02@Sr~pn+fo_f)HDxKw&?0 z5Ry_+{T6#H+azkj_bAinAn2a8u*WZ{x)twt#Q?!gs!hDPo^HN$(8Ir6v=#> z4UmOMcZ}?_9ZZ$ldk`F4hktG)l z0@6uU=aZ0(79(cq4%#T@dm%Qg>;8)cFJ}*bV2I6&MuM=QQ+iq@gp5JNz$$`SNkH)} zd*n_>8GTc9*g;~2yPjF+SZt-PfCK>nB@tVD-FLpB5LgcpC9_lh$VZ07E3=uJsJM0> zlrxw8@!O+CABWMXlgUdcw0CzMG%Pp%u2Dt_b#2(ty;j%C4(I@R0iXWVYLvC`ZxA)45jYZ<|R zr@BS-!yp(=M2Bm%?1`3(>%M90T-9{jc@H;f3@;&|pT^Hq!)%lh5D+J#%bsY7jg+=t zi&?fPr8(@t(r<(K*$^Cy9)uJeVy>sG4x};X_F|x5$CbL-b{GXrjTwSVrREANI0V;I zcFIF&<*ID#O)yd(0*ehvrIMEg*EF&%!RlOtATTFc%EZpxUaP4Uw4m7fBr>=Gx@n}< zsM#x7w;lt*IpISQ99^mKP@2(JMsPZz2S9M_%uV%~UYXy>5$bw+UMb)2}-stH;-J0nyJfor!Y7vil#fDUATKm9a8AOj$Qe`3wm zC1*I8W)uXJl4%3>rU@>YD(e9WoIm2;GMzd60Z5n;)23IkrU_1_N7CRP0k>{dy3=$z zWW&hLvFzJs?7Ww5HQgEFre#QqEe)a2lY83?!KK}*j8;E8`Co9#q@eZET$0-O4C6IJ zaE4o@dM^l$8<#3x>(NXIr9EbKA)CCzY-AExS}C`r2+eD>@(KYbvk*qucu zU{0pyc8O}`&bk;>h~pQ8gu+;EWg(YXu{#I;^OuQri&j_ga8ixeOniC~5~n_auPS{SXmJ0W4ZK#PZmDjp$7vk>o|&ZuOCt1viyB7QW;q znGV)WHx55(Sr@hr1|cbZn@k2vGT=-ajoMn@+Y%f$)5!orl7Xc`avLmX{}Bi9a*DLX zKPb>cn}&ASJ~i3VSzAhqHc8hiFqB+i8h98S;7D-NwTceiX4jRPI6h7A!6Q$0QA%ZT zUohA;?<&>}G8?XrubkA%TSc3mDKsp>JE={$@@%e;XxJBTUqaQ`QCVw^IBeZUG_BgH zD>ZR_Krj9RDN1D(BJ!jjb}Kf-wcwB+4L2X4pvxd4qEJ?tw^L0hhNq_<_0{a|B7|%K zNn}8=Z5nEB1&{Fjn63Vrjvn@`TpSiBnFR{0PTK70a>`NlMOfiD&g!PazX z>G%Q*@_vd93FK^E8Axz|4*^0xe6w)bus6~u^K{{5sy-S>@;(Lu@%wYH4X#^MPh=lm zsGuy*wrkVyj-3z?fggAOVogVbN=Ub?y_OhO8`X%muF#YtzX)7#h*9v5DTm~?!xBMZ zlxX^DFKVN931khI3%=2$R(8AWrwbg4>$RjdUR?^YAtkYJ+4NPnHxeb*DP643Fc%7h z?qb!0E+`=-7aW2vR#!>43@Cho;xtp-gHS4~mQ5%GXY$+Xr?x7J+9Iu;M})+xWfLmF zAyWZGt4tKTf*Cb9zygEqVX$i1gidfKM*0MxQ%173K%tFkM1MHwbcaxG)s31y< z6y7X^(0%43^nx?#t8H&3RM{+rmje?TCEBkhQ^_tri|$^*jo=U?npL1qT0C@}B*Bx= zOt=zUHiEiZBgH`|>BV!bcoLckw}LZyskXf?MwP}0N405d270ef=s;M50jqE?IFs&p zYh{^I-T(^O9DxKfP5(m&*?*>iaqa)TJX*7SL`HDgWY&6$vW9)zFm-d--|N)6OdpAw z$g+u~;BrB?t5C9*z1tNz)~{6WpI-ig4zhoap}S0_k|R+RSvEolE*o^cs#+eOLt`u0 zMZSmKv;<~u^zrKNLUXOR*6E?h&CSxxseHE z3A2cm>~p%dTPPwnCrA-si+h@2pT|Il&tssBO#(fw&Cy4i-U(NUsZ@LgrP;pYww;uYyY-krvJLmVBm*L~F zaB6rIqK5N^F#+7JtS!w*-!Q3Famm^um8|1OQ7FS~OP!ROuN6}_ zE#JfzGS08g^tMTnlZ$9?S6s>WW=wxTI$Hj7<9(VVBJt{GwUfI$y1FpB*0#%jyq*jy?Biqd~6r5-J{o5{w)&Vsa>Itx?Es(%l0*xODERPwY&T!;fP}zz z?^i+&iV(uL36{_!)UvmaXz%G*+jMf5e1KRoj8HMD&MiKyZwraSSC8Z>cYRt%@0D zjBZF%e^4%QEj!fgzzt51m1`N6)&2E65Z;rov$BT0TX+b4^zL)P`I>zh@@$BGEXf`Zs7Uu^?Ozi_3A%-cyljt$M~F?^-cw$lBWbehpaIQUvHYAWtCl?j=mSk{;x%f zDldc~#>NzD&ZG&?q5k{ApyUpqp=pTkjZk3CHfV6QCUmpMJQ{Cet}5KVvY9hZN`}Ej!YeewB`nV@j;898wse=`!msN?2nrKjLQVP zrZbb8jwKpWl4$+D!qx(6DPK@ZqhH6XX7asd2Cj=EZBm~~pKY}ktel-blW5WZq~^-& z%1Kf|lkkXGFnsL!RPeH8j6;H?9jBe@4E@%W)kweb_tD0h^rZtZw@1OM+Kv8vA#8l$ zxzS-z8<^0wnz+Qq!dTiPRn_&f%H94V4fs)l(XHj1tMJoWdlU4=wMw*^{C7x5mmenC zi({lxzD^*KgZb&HbQ?Ir!{xHG<={lPF66o1^Q%;Xv%g?E6bXgCs*(KtOoH74Rd3jf zJ+l^t%Uj3wmBgmXr}^WL4Fw1sBddzXvD@O`+{)Vzc?l)?9*5qJbU4WSOuKq2) z+1ipoT8}1sGlGr%Hfj**xgDsN#&#;AjOXuO$D&*_eu!g6(MFp+NxaW?CfQ>FDS#t_ zr_p0>DavmeXpl}@u7_MEcSz)qm(o}{cX#8f{P2V$usileLqNNtCLY_rQlNK;AulsA=+hUzR5cIw$b!l zNNM`FT$7RJ$YqbRd~}o$Ohjf_Z7D{W*2aPCS&=czZVW`fF&W#~slp^VG-`e0l#OCR z9KW3T3*Hx;_-hm z2iTlb^g4^06QtHpgC#zKZY!#vx@lR@nWQR|l0TQ);3tQI;#%!bPwg~f#E6b2n)2zL zXf|?f@V{3l4qXb_C@h>M-$<>NDMXDt&L4sHi{dmvoG^5=g zOloW1Q}h*!SeL%qq|stJlk)~9m#!!QQ&=Dbx*|Ja4%L0REy`2yp9dafk7}HHw8upe zX@>ouwse59!mTvLcdS~dvh*>JMt}}&t=>Ya4;U5%WM_o2&LV*ZNpkKBhu11iYsC(V z_H(8L8^APT*+{4~>4M#?u`iDZ+aZS8^x~4U2*D@aKCpX*V|gDZj!VPsbnt|&s!Sy? z<=HbBz`n^JFhkCiK)|;Dku+}ZCRRkACGB&o=#M%>-v_*V_q>us zw4~-;V3^vXtnH(!)7)!c-$^^&Z~lqfryeeXOQ`1XFLbB?jIiY^P@K3wkVVVLvfWw*JCg&+mpA^h4&IdGr)`4_62YaC1|IhNpL zJ8Ngs)Kirz!`@pGozh7@d2p2FJ(IIt4ct?@o~CNa@U;mFYfN${G+T0xYO>CIjS(fq zOR>(04jt{BLIllkcfS)?(+2$M5r=F=?Epl@^A>kqfS89E#omaqPp(qaCcEU{c~#@9 z&?#xkCS5PwY(>NS#(5*Bu*C4wpMJN@<*ze#5=&mxT{TfoDh&!h?@|n}={v^q7O7^} zx=`eQ`pfrPwlmew#ea^e-U+_fkReqqA;VFv>+!7n#q-k(6ehC?+*RYC*`&b=Va}E< zaE7{Zki|)*hdJx&>5r{H5<{@);<%CjYFb6b&7I>_6{}}p#@JYZ)6nvWb zi%L-=Fmks#1|FY4@p9)iYMtZER*V6+rb|_X6JhJbfa#ovEU^aoX+SiaOp|thj$A6; z2u?DSPb(2DM;ZalUr;YGU+O~`?TD2)j4vq0AtKy%?*RL?oUJhB}cQe9OsT?DEMRs>{wOMNqZBTz`8k{7iZk)50 zK=uCh=gnrFORNsN`gC6^M}41Fj}F_ALQyG(5*1(VG3Xu6b^NBSTUsRATo`-7%|E*m z|Bo;&IE=ni5NYl4>~(QfhbNi2NjeglBL=S@!nwJKV9HP^NkqOXYUb8Fbt|pMk>Y$8F(3ea^&{Hbcas6eh#FE7s`6`_L#F|P zc$kk4$$>*Q0y?HHF@;npOX9IxROYcGj0^+)_X6lJ={JVV9R>H`*#HDvR0uu5Qp+Pk%Cx8;i-JsoF?b4qgDVkrBB5KC5gu^ZYxx{#MkR_j^D2utSC3^}QsF0g7Dch+9^3r5O683l^5(b$b^ zVzpnpA9(|nq<4x_N_3Q1zho}R{mrvmwuhkKkXLvQm$usfQP+QIYPcwk=(k)&tuR-= zU_YPzqIx0(ynkzGIemg0Ur%ZhNa{2jQu~haGwXLXtW9|9r^^!VJf1gOP?p&Pf~Q?f;8Gv!slrolk2Kxau+VxxqTzEb zg`}scoq&t>0)n?MSU+6!rt=8!O{u|eBo8K3)y*N#e%_Ix7w`Nvn2qB?tC)r~4Qc=g2&WJ#Qi#h?Q5L5>-%e9rNo zC)f>smbOmoS=^EA#E1Fz@$uulWr5>+m-=YJhzdbvIGQPNFe#nQ#q!M%L2VsdoEAXV z{k+gO712b*bUJ3*fYv=N`QgeY?eCi~oZB9p^Z_6_a>m=o0z=pB1BH@E2}>r5X17?m zKq~SgS54IX<_uKZhhiRhv#mwNw&vszY@XIocg`x)Tx9ZBlo}a4Kv_56 zC?m80pxCQG3OvN=Y>1y9VuM@TL@@H86>U}%akZosKZRmB(6EGF%q-gzo13<4WM_X8 z5SjHa^rknN)vi=xrE#t1|L=}ISxs57THHrX2E@6`xM6Y!*|tLLGPF*70X;jInoUWH zr5r^%7)@-W%3dTYX^Nr%vCRe`Y}p$|M5E{z9?E%bgU~N=kukQ6?L|!vEU0KdRcQ!D zZNmgKp}9;^VAK(l^j(yzDgb%``h(RL$bhX_vkKukOH<_Zm13D?aRz8scqv!8_JxlTpwSphJ5x5T_1nDdX>OK*KN{Tj;ZiCR1L@!iT+i(op5@UliFwJ9~_Nv zjpv5ycgU363WC8ZJdb6Tn~Rh?d8>&|+ths0lIc#{ewXU^(1|WT#P)?Y=)8C8py8 zz5ScLpp#Vn#b*T3xTE7%L}sLytBCs)l6Ia(9J}JOwW2<(A~RL4byba^L`lR7uKyw~ zT8E)x4a-i3lGbKpSTADrE)JcJp0Nq^!pVW>Yy~EshdRShrM2*Ig>P>Zm=i3;qt3?w zb$F`WVgW0xDaWES)0T5t1KfXZamhPV4``#~h~OdD2hycFd7;SC@%GoAifn9J){0AY z#>Ge);bip8#exbw^O|Kiv+c`QL5rv%3@x;9aeToAc2dXRsw>yfdt%qe%NmcSG=wzW#b^3B#6h^bmcJAgu$l7-V^FpZ}4ItC^Y z>Ri7}+D{a#7lYB~7U670f0QRW!WIaTPU^l}WpQL(FkuQK$+fI1?*3d8!)xPZPq3WA zo|pb7Q*yU?$6@!gOWViKNwKve&9`WL%EnW;y-tCItk&x6KuNu5( zIweXFopC;7f2OMZ+OMiOttQfDsT`b`N`Wp9DKAZ-o{iS+k0znp)fFZQKM&ZcQJLuFKl~{Eq?ASuQ+dHg0=!Z#y5lT};YPlSi&4^{IDA zB?U$^QcOfzm5R_%!NPk^G^WcPX0a1zY;Uo(hYP)Fml@I4PP9fe-%lO!*PmMXw1qn* zI*PBR1}?b{_}Gjj*!{^Tk^(a)a)eeGswfbK0)klhQ{I)5JIK0I^_K3lVq)!IFD^T9 z{Uymw`J+#dH1kB;nZlLsPwtKOc1`v4v6yrGH}^Z7ec4X=SedwP`b^B;DlG;`4oD$J z35A|uF8vd?F2_o`E`-^FZ2UumlDg?qyvq#5xPwQ)=T3cLj%{t9Hk4_$HP0`Kk|2-m z?@w$aYMNjh)RUWA`J` zg-uf1tm-uA=^yg!>n|((S(-0?-hX9V250>S0(YfOFnY{C(S#@1Uam0~#-xaka#O+C zItaIMQ}Be}EDJ{xj-X81(>g>YsG!2ULfp>vivwE|24~6Kck46Pzu}fX%-vvpz4M-@ z18Cdq&3!K`fv~PF4oSRk+*+ZxLcRlhqx41A@S#n!VCXm7TBN+#R!l3(Mx=pPcsrS6 zn-`a*Q^KB9`44#Lk}41SHkclbb_QDwv^p?A#nIQ`2ZX(Ngh=RL z=c5}J!N>4YudUzZ5Uggk(Ccif1GSlt>=EdKAQ`WM8tE-2e{xKD&b7v!(N0;teOHNL z;(4yN_JR%UVkIMPN=n<#N0ph+q5rY4FMepyf`t!)Y*@qz3Khg(`7a_S>9l2GLX?0# z>_^Uf>0gp_vShV_Hg3SoOr1yh2JV6-c{xW6O4v8wTC6m;Y!`En+@@dGeMjuyRe60* zJ_{d-oQArbNs83`-ClmmU>v2$Ei7#M;A}vrMA`DeH$VS$c=9CIatb`g!aJ7+=9rn6 zKcHnLBDw;-HqeFep{0G9iIV7b8RvS&_lC0qDAGd%AHea(5^u#uV zxD7cEH+0r04#appvxmVvmAX5zkHUty4SaO{8GpujWAz7=Tof&Nq(Y89_=+fSlW8o! z35ESJTD3IrpQ#tHkU3iTy46wtN(GF|LweX8m_+_bWjNME(-aX43vR#xHPI446%D`HcTprjrXd! zLg)>m%+oe9qTaUJHp2GOoq^f>=kN_{y6J@a?G3{)Xwf8>rxfK-8SlK%qW)Jnr-SYL zXFP?GN`A@5-%Z+0I>nlF8oNj%)VZSASF^vwAqJTb3bMMuuyoork^iCM1|61o=bwTw zy$-HYaK!u6Lf$^p*1ih%#G0isEyDu&$>z3QP z4+H-`hC6BV3v4KC%yq8flG@rDO_(Ho_tlF+>}dhoc+8ZN*jVI9v)SW5f2WJdvj~P= z6V|mBrHE=mt5bE#F*>w1SMWR^N1=>Kw*PI(Ai9DwXjB0aep>Tj#oqnk=MWf6_;J%7 zfwE9!rfx(kkPR*;DF_n{I5(1rkxPAWaEEP{8rSt%Y%_C4lZ>I-pZN0JsJU1;;kBO~ z9*BEvBC1DO_gtSi~Ie$#|8 zHS{+$V_H#ehS6(!Z(iUCWCzKvxneb_acr87)~vO_F1U*f3jR^H)RwJ{v!(xgvv2k< zV|w65_rTU0eM&iLi4B%w(*-k~pC&V)YRfN*k>%HZ+zvB7Ejef^Ac6O%uhn6fEr)3XXFS# z!aXpO$SwvHmnml*iF(YfmZlZH1|6G=f8zcGsg&u$&!h{$cyFXoDiinOvtcaGFVs;c zkQlK?4Tq)YEQ;t;QmzB~x=`R}=x0OM(a}XDwD>X~F(=hw_hY{VD(tA4%K6a}|EN-% zB#W#6FnpcR4>l{W@9+2PGhP_dBVRED YJ231g9X-eYd(;6SA5`UPWK4qo4@BghZ2$lO literal 0 HcmV?d00001